C
chopic
Guest
Hello =] Mayroon akong magsulat ng isang VHDL code para sa 4-bit-ahas gamit ang ieee.numeric_std.all na pakete. kaya i kinda wrote ang beggining ngunit ang aking problema ay na wala ko alam kung paano upang idagdag sa std_logic_vector (mga) sa isang solong bit ng std_logic (magdala sa): library ieee; ang gamitin ieee.std_logic_1164.all; paggamit ieee.numeric_std. lahat; ader entity ay port (
a, b: sa std_logic_vector (3 downto 0); carry_in: sa std_logic; kapwa: buffer std_logic; s: ng buffer std_logic_vector (3 downto 0));
dulo ader; ang architecture arc_ader ng ader ay simulan ang proseso (a, b, carry_in) magsimula ng