Verilog code para sa stepper motor

M

meehd0

Guest
Kumusta sa lahat. Mayroon akong isang 6 wire unipolar stepper motor na konektado sa isang L298 H-Bridge. Ngayon ko ako sinusubukan upang makontrol ang bilis at direksyon ng paggalaw sa isang Spartan-3E. Sinubukan kong paghahanap para sa source code upang makakuha ng ako makapagsimula ngunit karamihan sa kanila ay sa VHDL at lamang kontrol ang direksyon ng paggalaw. Ako ay hoping maaaring magbigay ng isang tao sa akin na may ilang mga pananaw sa kung paano simulan ang code, preferability sa Verilog. Salamat
 
sample code
Code:
 library ieee; gamitin ieee.std_logic_1164.all; gamitin ieee.numeric_std.all; entity sequencer ay port (rst_n: sa std_logic; paganahin: sa std_logic; direct: sa std_logic; orasan: sa std_logic; x: out std_logic; xbar: out std_logic; y: out std_logic; ybar: out std_logic); end sequencer; mjb ng architecture ng sequencer ay signal x_int: std_logic; signal y_int: std_logic; simulan ang proseso (rst_n, orasan) simulan kung (rst_n = '0 ') pagkatapos x_int
 
Upang makamit ang inilaan na bahagi ng pagkakasunod-sunod, ang susunod na estado ng x_int at y_int ay kailangang batay sa mga nakaraang estado ng parehong phases ayon sa pagkakasunud-sunod. Sa ibaba ng code ay hindi gumagana ang paraan na ito:
Code:
 x_int
 
So sa susunod na punto ay isang hakbang generator ng bilis, na nagtatakda sa "paganahin ang" bawat nth ikot ng orasan
Salamat pareho mo guys para sa tulong, ang motor ay tumatakbo .... pero medyo mabagal. Ngunit ako alittle nalilito sa pamamagitan ng kung ano ang ibig mo bang sabihin sa pamamagitan ng "paganahin ang bawat nth ikot ng orasan". Maaari ninyo painamin?
 
post ang code na kung saan ay gumagana sa ang motor ..........
 

Welcome to EDABoard.com

Sponsor

Back
Top