sa lahat ng aking cpld outputs ay saliwain

A

ahz571

Guest
ako gamit webpack 7.1 sa xc9572 cpld.ang aking mga problema ay na kapag ako gumamit ng isang "at-gate", output nito ay bilang kung ako gamit ang isang "gate nand" (saliwain output).ay kahit sino encoutered ang problemang ito bago?

 
Ang Xilinx Sagot Database ay very helpful:
http://www.xilinx.com/xlnx/xil_ans_display.jsp?getPagePath=21168

 
ako nagtatrabaho sa parehong cpld pagsasaayos XC9572 PC44.ako lang ipatupad ang isang decoder upang suriin ang aparato at nakaranas ng parehong problema ngunit kapag ako ginamit ang PC84 modelo, walang problema.kaya gamitin ang PC84 kung ikaw ay may mga gamit-yaman upang gawin ito.

 
ritesh1985 wrote:

ako nagtatrabaho sa parehong cpld pagsasaayos XC9572 PC44.
ako lang ipatupad ang isang decoder upang suriin ang aparato at nakaranas ng parehong problema ngunit kapag ako ginamit ang PC84 modelo, walang problema.
kaya gamitin ang PC84 kung ikaw ay may mga gamit-yaman upang gawin ito.
 

Welcome to EDABoard.com

Sponsor

Back
Top