A
amburose
Guest
ako ay may problema kapag ako intialize ang halaga sa bahagi nilalang ...
pagbubuo at kunwa ay may karapatang ... pero tunay na oras ako got iba't ibang mga resulta mula sa kunwa ....
library IEEE;
gamitin IEEE.STD_LOGIC_1164.ALL;
gamitin IEEE.STD_LOGIC_ARITH.ALL;
gamitin IEEE.STD_LOGIC_UNSIGNED.ALL;huling entity ay
Port (a: sa std_logic_vector (31 downto 0): = x "FE3A3AB2";
k: sa std_logic_vector (31 downto 0): = x "00,112,233";
clk: sa std_logic;
rst: sa std_logic;
q: sa std_logic_vector (1 downto 0);
d: out std_logic_vector (7 downto 0));
huling wakas;
architecture asal ng huling ay
signal c, d1: std_logic_vector (31 downto 0): = x "00,000,000";
signal count: integer saklaw 0-255: = 0;
signal en: std_logic;
simulan
proseso (a, k, c, d1, clk, rst)
simulan
kung (rst = '0 ') at pagkatapos ay
d <= x "00";
en <= '0 ';
elsif (clk = '1 'at clk'event) pagkatapos ay
d1 <= hindi (isang xnor k);
c (31) <= d1 (31);
loop1: para sa ako sa 30 downto 0 loop
c (i) <= c (i 1) xor d1 (i);
dulo loop loop1;
kaso q ay
kapag "00" => d <= c (31 downto 24);
kapag "01" => d <= c (23 downto 16);
kapag "10" => d <= c (15 downto 8);
kapag "11" => d <= c (7 downto 0);
kapag iba => d <= x "00";
tapusin kaso;
kung ang dulo;dulo proseso;dulo-asal;
sa parehong paraan ako ilagay ang halaga sa loob ng architecture .. i got perfect resulta
ibig sabihin, ...
d1 <= hindi (x "FE3A3AB2" xnor x "00,112,233"); sa halip ng d1 <= hindi (isang xnor k);im gamit xilinx proyekto navigator 6.3i ...
ito software problema ........ o ano pa .....
plz nito sa kagyat na ....
Salamat muli ng pagdinig mula sa u. ...
pagbubuo at kunwa ay may karapatang ... pero tunay na oras ako got iba't ibang mga resulta mula sa kunwa ....
library IEEE;
gamitin IEEE.STD_LOGIC_1164.ALL;
gamitin IEEE.STD_LOGIC_ARITH.ALL;
gamitin IEEE.STD_LOGIC_UNSIGNED.ALL;huling entity ay
Port (a: sa std_logic_vector (31 downto 0): = x "FE3A3AB2";
k: sa std_logic_vector (31 downto 0): = x "00,112,233";
clk: sa std_logic;
rst: sa std_logic;
q: sa std_logic_vector (1 downto 0);
d: out std_logic_vector (7 downto 0));
huling wakas;
architecture asal ng huling ay
signal c, d1: std_logic_vector (31 downto 0): = x "00,000,000";
signal count: integer saklaw 0-255: = 0;
signal en: std_logic;
simulan
proseso (a, k, c, d1, clk, rst)
simulan
kung (rst = '0 ') at pagkatapos ay
d <= x "00";
en <= '0 ';
elsif (clk = '1 'at clk'event) pagkatapos ay
d1 <= hindi (isang xnor k);
c (31) <= d1 (31);
loop1: para sa ako sa 30 downto 0 loop
c (i) <= c (i 1) xor d1 (i);
dulo loop loop1;
kaso q ay
kapag "00" => d <= c (31 downto 24);
kapag "01" => d <= c (23 downto 16);
kapag "10" => d <= c (15 downto 8);
kapag "11" => d <= c (7 downto 0);
kapag iba => d <= x "00";
tapusin kaso;
kung ang dulo;dulo proseso;dulo-asal;
sa parehong paraan ako ilagay ang halaga sa loob ng architecture .. i got perfect resulta
ibig sabihin, ...
d1 <= hindi (x "FE3A3AB2" xnor x "00,112,233"); sa halip ng d1 <= hindi (isang xnor k);im gamit xilinx proyekto navigator 6.3i ...
ito software problema ........ o ano pa .....
plz nito sa kagyat na ....
Salamat muli ng pagdinig mula sa u. ...